Result

WA

Duration

503ms

Code [DL]

module c;time z,i,a[12];
initial for(i=12;i;i--){
$fscanf(1<<31,"%d",a[i])
if(i<10)a[i]-=a[10+i%3]
}
$write((a[9]*(a[5]*a[1]-a[4]*a[2])+a[8]*(a[4]*a[3]-a[6]*a[1])+a[7]*(a[6]*a[2]-a[5]*a[3]))/6);endmodule

stdin

20 26 33
55 23 93
83 29 63
08 66 31

stdout

stderr

/volume/CODE:1: warning: Use of SystemVerilog [size] dimension. Use at least -g2005-sv to remove this warning.
/volume/CODE:4: syntax error
/volume/CODE:6: error: malformed statement
/bin/script: line 14: /tmp/code: not found
rm: can't remove '/tmp/code': No such file or directory

strace