Result

WA

Duration

483ms

Code [DL]

module z;time a[12];initial for(i=12;i;i--) begin $fscanf(1<<31,"%d",a[i]);if(i<10)a[i]-=a[10+i%3];end $write((a[9]*(a[5]*a[1]-a[4]*a[2])+a[8]*(a[4]*a[3]-a[6]*a[1])+a[7]*(a[6]*a[2]-a[5]*a[3]))/6);endmodule

stdin

26 86 05
81 49 66
51 16 63
30 25 30

stdout

stderr

/volume/CODE:1: warning: Use of SystemVerilog [size] dimension. Use at least -g2005-sv to remove this warning.
/volume/CODE:1: syntax error
/volume/CODE:1: error: invalid module item.
/bin/script: line 14: /tmp/code: not found
rm: can't remove '/tmp/code': No such file or directory

strace