Result

WA

Duration

494ms

Code [DL]

module z;integer a,b,c,d,e,f,g,h,i,j,k,l,z;initial begin z=$sscanf("","%d%d%d%d%d%d%d%d%d%d%d%d",a,b,c,d,e,f,g,h,i,j,k,l);d-=a;e-=b;f-=c;g-=a;h-=b;i-=c;j-=a;k-=b;l-=c;$write((g*k*f+j*e*i+d*h*l-j*h*f-d*k*i-g*e*l)/6);end endmodule

stdin

61 54 47
34 03 38
06 33 06
84 71 09

stdout

          x

stderr

strace