Result

WA

Code [DL]

module c;
int i,j;
initial begin
j = $fscanf(1<<31,"%b",i);
$write("%d",i);
end
endmodule

stdin

00100100
00000110
00011100
10110010
00101101
00111001
10011100
11010000
11011011
00100101
00101110
10011001
11100111
00110111
11001011
00000001
10111110
00010001
01000010
10001000
10001100
00000000
01010111
00100110
01111000
01110111
11011110
10011111
10100110
00010101
11010010
11001010
00001010
10101011
00110001
00001010
11100111
01101001
00101010
01001110
11110110
10110110
00001111
11010001
00000011
01100110
01011011
00001011
11111101
01000101

stdout

stderr

/volume/CODE:2: syntax error
/volume/CODE:2: error: Invalid module instantiation
/home/esolang/bin/verilog: line 4: /tmp/code: No such file or directory
rm: cannot remove '/tmp/code': No such file or directory

strace