module c; int i,j; initial begin j = $fscanf(1<<31,"%b",i); $write("%d",i); end endmodule