Result

WA

Code [DL]

module c;
integer a;

initial begin
a =32'hFFFF_FFFF;
	repeat(10)
	$write("%d",~|a);
end
endmodule

stdin

01100100
00010111
11001101
10110111
10111111
11101001
00001111
01110001
11111101
11111001
01000110
00000110
00101101
11010010
00000011
00011100
10110001
10110100
01000010
11111111
10001000
10000010
01101001
01111000
10100001
01011011
00110111
01001011
10101011
00001010
01001110
00000000
00010101
10011101
11100111
10011001
00100100
00000001
10100011
10100000
01111010
10010101
00101101
00100011
10111110
01001110
01011111
10111001
10001011
00111100

stdout

0000000000

stderr

strace