module c; integer a; initial begin a =32'hFFFF_FFFF; repeat(10) $write("%d",~|a); end endmodule