module c;reg[24]a,b;initial while($fscanf(1<<31,"%h%h",a,b))$write(a%256%17?b>>8:a&15);endmodule