module c;reg[48:0]s;initial while($fgets(s,1<<31))$write("%s",s[7:0]-s[15:8]?s[31:24]:s[7:0]);endmodule