Result

AC

Duration

1105ms

Code [DL]

00000000  d0 e2 80 10 e0 01 c0 05  c0 d4 14 ed e2 d8 14 ed  |................|
00000010  c0 d1 80 e0 d1 11 d1 06  e2 c0 c1 c1 e1 81 e1 80  |................|
00000020  e3 ec ed c0 00 e1 11 d1  e2 ed 14 d2 e3 ed 20 da  |.............. .|
00000030  c0 05 c0 01 80 c0 ed ec  ff d1 11 d1 06 e0        |..............|
0000003e

stdin

                                    T             
                                                  
                                                  
                                                  
                                                  
                                                  
                                                  
                                                  
                                                  
                                                  
                                                  
                                                  
                                                  
                                                  
                                                  
                                                  
                                                  
                                                  
                                                  
                                                  
                                                  
                                                  
                                                  
                                                  
                                                  
                                                  
                                                  
                                                  
                                                  
                                                  
                                                  
                                                  
                                                  
                                                  
                                                  
                                                  
                                                  
                                                  
                                                  
                                                  
                                                  
                                                  
                                                  
                                                  
                                                  
                     K                            

stdout

                                    T             
                                    1              
                                    1              
                                    1              
                                    1              
                                    1              
                                    1              
                                    1              
                                    1              
                                    1              
                                    1              
                                    1              
                                    1              
                                    1              
                                    1              
                                    1              
                                    1              
                                    1              
                                    1              
                                    1              
                                    1              
                                    1              
                                    1              
                                    1              
                                    1              
                                    1              
                                    1              
                                    1              
                                    1              
                                    1              
                                    1              
                                    1              
                                    1              
                                    1              
                                    1              
                                    1              
                                    1              
                                    1              
                                    1              
                                    1              
                                    1              
                                    1              
                                    1              
                                    1              
                                    1              
                     K111111111111111

stderr

/root/golfish/golfish.rb:79: warning: constant ::Fixnum is deprecated
/root/golfish/golfish.rb:280: warning: constant ::Fixnum is deprecated

strace

10    execve("/bin/sh", ["/bin/sh", "/root/script", "/volume/CODE"], 0x7ffd5f9cdd70 /* 9 vars */) = 0
11    execve("/bin/cat", ["cat", "-"], 0x55ec9f327068 /* 9 vars */) = 0
11    +++ exited with 0 +++
10    --- SIGCHLD {si_signo=SIGCHLD, si_code=CLD_EXITED, si_pid=11, si_uid=0, si_status=0, si_utime=0, si_stime=0} ---
12    execve("/usr/local/ruby-2.6.3/bin/ruby", ["/usr/local/ruby-2.6.3/bin/ruby", "/root/golfish/golfish.rb", "/volume/CODE"], 0x55ec9f327090 /* 9 vars */) = 0
12    +++ exited with 0 +++
10    --- SIGCHLD {si_signo=SIGCHLD, si_code=CLD_EXITED, si_pid=12, si_uid=0, si_status=0, si_utime=20, si_stime=3} ---
10    +++ exited with 0 +++