module c;real d;initial $write(2e3);endmodule