module a;reg x;initial while($fscanf(1<<31,"%b",x))$write((8*x+1)**0.5);endmodule