module a;real x;initial repeat(50)$write($fgetc(1<<31));endmodule