module a;real x;initial repeat(50)$write($fgets(1<<31,"%b",x)+x-1);endmodule