module _;real x; always $write("%d",1==(8*x+1)**0.5%2); endmodule