module a;initial repeat(50)$write("%d",$fscanf(1<<31,"%b",x));endmodule