module c;reg d;initial while($fscanf(1<<31,"%b",d))$write($sqrt(d*8));endmodule