Result

WA

Code [DL]

module a;real x,y;initial repeat(50)begin$fscanf(1<<31,"%b",x);$write("%d",(8*x**0.5+1)%2==1);end endmodule

stdin

11111100
00011111
01101011
10111110
11110010
01101010
11011111
11000100
01000100
10001000
11000110
01001111
00001001
11100001
01101001
00011100
00001111
00000001
01111000
00010101
10101111
01001110
10101011
11100111
00000110
00110111
01110110
00001010
10011001
01000010
00000000
00001111
00101111
11010010
01110010
10000100
01011011
11111010
11111101
00100100
10001000
00000100
10001010
00001011
00100011
10000110
10000000
00101101
01110011
00000011

stdout

stderr

/volume/CODE:1: syntax error
/volume/CODE:1: error: invalid module item.
/volume/CODE:1: syntax error
I give up.
/home/esolang/bin/verilog: line 4: /tmp/code: No such file or directory
rm: cannot remove '/tmp/code': No such file or directory

strace