Result

WA

Code [DL]

module c;integer c,d;initial begin while($fscanf(1<<31,"%b",d))for(c=1;d>0;c=c+1)d=d-c;$write(~|d);end endmodule

stdin

11011100
10111100
10011011
00011011
00001010
01000010
11111101
00110111
01111000
00100011
00010001
11000100
00011001
00010101
10001110
01100011
10000011
01011011
01101001
01010010
10001000
00101101
01001110
11011000
01110110
00011111
01110010
10100100
00000000
00000001
01010101
11110100
00000110
10101011
00111001
00001010
00001111
00011100
00001101
10011001
00100100
01011011
00000010
10111110
11001101
11100111
11010010
00000011
11101111
01011001

stdout

0

stderr

strace