Result

WA

Code [DL]

module c;logic c,d;initial begin repeat(50)begin c=$fscanf(1<<31,"%b",d);for(c=0;d>0;c=c+1)d=d-c;$write(~|d);end end endmodule

stdin

11110000
10110100
10111001
11111110
01011011
10011111
00101101
00001010
00010011
11000010
00100101
00010111
10011000
00101000
10100010
00000110
01000010
00111011
11010010
00000001
10001000
01110011
11111101
00111111
00001111
10010001
01001111
10001111
10111110
11100111
00000000
00100001
11010010
10010011
00010101
00110111
00100100
01010100
10001101
11011000
01101001
11100111
00000011
10011001
01001010
01001110
00011100
10101011
11011011
01111000

stdout

stderr

/volume/CODE:1: syntax error
/volume/CODE:1: error: invalid module item.
/home/esolang/bin/verilog: line 4: /tmp/code: No such file or directory
rm: cannot remove '/tmp/code': No such file or directory

strace