module c;reg[8] c,d;initial begin repeat(50)begin d=$fscanf(1<<31,"%b",c);$write(c);$write(d);end end endmodule