module c;reg [31:0] c,d;initial begin d=$fscanf(1<<31,"%b",c);$write(c);end endmodule