module c;reg [31:0] c,d;initial begin d=$fgets(c,1<<31);$write(c);end endmodule