module c;integer c,d;initial begin $write("%d",9);end endmodule