module c;initial begin $write($sqrt(9))end endmodule