module c;integer c,d;initial begin $write("%d",$sqrt(9))end endmodule