Result

WA

Code [DL]

module c;
int i,j;
initial begin
j = $fscanf(1<<31,"%08b",i);
$write("%d",i);
end
endmodule

stdin

10011000
01000011
01100110
01111000
01111101
00001111
01101001
11100011
00010101
01011111
00011101
01111100
11011000
10111110
00011100
00101001
11010010
00110111
00000000
00000001
00011000
00001010
11111101
10100111
00000011
01000010
10000101
01101110
00100100
01010010
10101011
10101111
00111000
10001000
00000110
00011011
00101101
11011001
11111001
01100000
11110110
01001110
10011001
00110111
11100111
10101000
11101010
01011011
01011011
10010111

stdout

stderr

/volume/CODE:2: syntax error
/volume/CODE:2: error: Invalid module instantiation
/home/esolang/bin/verilog: line 4: /tmp/code: No such file or directory
rm: cannot remove '/tmp/code': No such file or directory

strace