module c; logic i; initial begin i = fgets("9",1<<31); $write(i); end endmodule