Result

WA

Code [DL]

module c;logic c,d;initial begin repeat(50)begin d=0;c=0;repeat(9)begin d=d*2+c%2;c=$fgetc(1<<31);end for(c=1;d>0;c=c+1)d=d-c;$write(~|d);end end endmodule

stdin

00110111
10101011
00110101
00010101
00001010
10111001
01010000
01001110
11111011
11111101
01101001
01000010
11111100
01011011
00000011
10010001
11000100
10110101
10011101
10110110
11011010
00000010
00000110
11010010
00011100
00001111
01010111
01111101
10110001
00100100
10001000
11100010
11111010
11101110
01101110
01110001
10111110
00000000
11100111
11010110
00000001
01111000
10101011
00011011
00010101
00101101
10011001
00011010
10010010
11100001

stdout

stderr

/volume/CODE:1: syntax error
/volume/CODE:1: error: invalid module item.
/home/esolang/bin/verilog: line 4: /tmp/code: No such file or directory
rm: cannot remove '/tmp/code': No such file or directory

strace