module a; integer i,j,x,c; initial begin for(i=0;i<50;i=i+1) begin c=$fscanf(32'h80000000,"%b",x); $puts(1) end end endmodule