module c; integer i; initial begin repeat(10) begin i = 0; $write("%d ",|i); end end endmodule