module c; integer i; initial begin i = -1; $write("%d ",|i); end endmodule