module c; integer i; initial begin i = 0; $write("%d ",|i); end endmodule