module c; integer i; initial begin i=0; for(i=0;i<10;i=i+1) $write("%d",i); end endmodule