module c; integer a; initial begin a =32'hFFFF_FFF0; repeat(10) $write("%d",~&a); end endmodule