module c; integer a; initial begin a =10; repeat(10) $write("%d",~|a); end endmodule