module c; initial begin repeat(10) $write("a"); end endmodule