module c; initial begin $write("a") end endmodule