Result

WA

Code [DL]

module a;
	integer i,j,x,c;
	initial begin
		for (i=0;i<50;i=i+1) begin
			c = $fscanf(32'h8000_0000,"%b",x);
			for(j=0;j<=x;j=j+1) begin
				if (j*(j+1)/2==i) begin
					c = 0;
				end
			end
			$write("%d",1-c);
		end
	end
endmodule

stdin

00010111
11111100
01001010
11100101
01110011
11100100
01000010
10100101
11000111
10111111
00000011
01001110
10111110
01111000
11001111
01101111
01101001
10110000
01110101
00101011
00011100
00001100
01011011
01001111
00101101
11100111
11110101
00000110
00100100
11110100
10101011
00010011
11111101
00001010
01110111
00000001
11010010
10011001
00011111
00010101
11010110
00010001
00000000
11010000
10011001
01011011
11011110
10001000
00110111
00001111

stdout

          1          1          0          1          0          0          1          0          0          0          0          0          0          0          0          1          0          0          0          0          0          1          0          0          0          0          0          0          1          0          0          0          0          0          0          0          1          0          0          0          0          0          0          0          0          1          0          0          0          0

stderr

strace