Result

WA

Code [DL]

module a;
	integer i,j,x,c;
	initial begin
		for (i=0;i<10;i=i+1) begin
			c = $fscanf(32'h8000_0000,"%b",x);
			for(j=0;j<=x;j=j+1) begin
				if (j*(j+1)/2==i) begin
					c = 0;
				end
			end
			$write("%d\n",1-c);
		end
	end
endmodule

stdin

11111101
00001111
00100000
10101011
01001000
11010110
00100100
01011011
00010101
11011011
11011100
11100011
10001000
11110101
00000000
10010011
10010010
01101111
10011101
11010011
00000110
11011110
00101101
01011101
11101000
00000011
00110101
01110111
10111110
01000010
01001110
00111001
00011100
11001010
11100111
10111010
10101111
01101101
11010100
10011001
11010010
00110001
00110111
10011001
01101001
01111000
00000001
11111101
00001010
00011011

stdout

          1
          1
          0
          1
          0
          0
          1
          0
          0
          0

stderr

strace