module a; integer i,j,x,c; initial begin for (i=0;i<10;i=i+1) begin c = $fscanf(32'h8000_0000,"%b",x); for(j=0;j