module a; integer i,j,x,b; initial begin for (i=0;i<10;i=i+1) begin c = $fscanf(32'h8000_0000,"%b",x); $write("%d %d\n", x,c); end end endmodule