module a; integer c,i,j,x,y; initial begin for (i=0;i<10;i=i+1) begin fscanf(32'h8000_0000,"%b",x); $write("%d\n", x); end end endmodule